BJ-EPM CPLD入門套件VHDL例程1
所屬分類:源代碼
上傳者:ilove314
文檔大小:0 K
標簽: CPLD
所需積分:0分積分不夠怎么辦?
文檔介紹:library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; entity CLKDIV is port ( Clk : in STD_LOGIC; --50MHz輸入時鐘 Rst_n : in STD_LOGIC; --低電平復位信號 Clk_div : out STD_LOGIC --分頻信號,連接到蜂鳴器 ); end entity CLKDIV; --20bit計數器循環計數 architecture COUNTER OF CLKDIV is signal cnt20b : STD_LOGIC_VECTOR (19 downto 0); --20bit計數器 begin process (Clk,Rst_n) begin if Rst_n = '0' then cnt20b <= x"00000"; elsif Clk'event AND Clk = '1' then cnt20b <= cnt20b+"1"; --分頻計數 end if; end process; Clk_div <= cnt20b(19); --分頻賦值 end architecture COUNTER;
現在下載
VIP會員,AET專家下載不扣分;重復下載不扣分,本人上傳資源不扣分。
主站蜘蛛池模板: 老鸭窝在线播放| **aa级毛片午夜在线播放| 日本人视频jizz69页码| 亚洲伊人久久网| 污污视频在线观看黄| 免费欧洲毛片A级视频无风险| 菠萝蜜视频在线观看免费视频| 国产日韩精品一区二区三区在线| 888亚洲欧美国产VA在线播放| 天天操2018| 一区视频免费观看| 成人片黄网站色大片免费| 久久久久亚洲av综合波多野结衣| 国产1000部成人免费视频| 国模杨依粉嫩蝴蝶150P| 七仙女欲春3一级裸片在线播放| 日本乱妇bbwbbw| 久久精品亚洲中文字幕无码网站 | 日本特黄在线观看免费| 二区三区在线观看| 欧美xxxx三人交性视频| 四虎网站1515hh四虎免费| 黄瓜视频免费看| 国产欧美日韩另类精彩视频| 夜夜爽免费视频| 国产精品欧美亚洲韩国日本久久 | 亚洲精品无码不卡| 男女一进一出抽搐免费视频| 免费精品99久久国产综合精品| 精品视频在线观看你懂的一区| 国产69精品久久久久999小说| 色播在线永久免费视频| 国产乱在线观看完整版视频| 都市激情综合网| 国产伦精品一区二区三区免费下载| 99久久国产热无码精品免费 | 搡女人免费视频大全| 久久久久亚洲AV成人无码电影 | 久久精品国产色蜜蜜麻豆| 春日野结衣女女| 久久青青草视频|